.


:




:

































 

 

 

 


1. MaxPlus2




 

 

 

..

 

 

 

prim

2011


1. MaxPlus2

() MaxPlus2 (Multiple Array Matrix Programmable Logic User) - () Altera. .

( max2win) MAX+plus II Manager (. 1.1). MaxPlus2 11 , . MAX+plus II.

Hierarchy Display - - , , .

Graphic Editor - - .

Symbol Editor - - , .

Text Editor - - , MAX+PLUS II. Verilog, VHDL, AHDL.

Waveform Editor - , . ( ) . scf (Simulator Cannel File). , .wdf (Waveform Design File).

Floorplan Editor - , .

Compiler - - .

Simulator - ().

Timing Analyzer - .

Programmer - Altera.

Message Processor .

. .

.

1) Graphic Editor. *.gdf (Graphic Design File).

2) HDL . Altera HDL *.tdf (Text Design File), Verilog - *.v.

3) , Waveform Editor *.wdf (Waveformt Design File).

1.1. . , 4- , . . , , .

MaxPlus2 .

1) .

2) MaxPlus2, File / New , - , .gdf (. 1.2). (Untitled_1.gdf).

3) File/Save As . , , . log_el.gdf.

3) , , , : File/Project/Set Project To Current File.

(. 1.5) .

5) MAX+plus II/ Compiler, Processing - ( ), Start .

 
 

6) : File/Create Default Symbol. .

File/Open , . , . File/Project/Set Project To Current File.

. . . Enter Symbol (. 1.3) Symbol Libraries Symbol Files.

Enter Symbol : Symbol / Enter Symbol, .

1) (..\prim) , , / , ( ).

2) (..\mf), .

3) (..\lpm), .

4) - (..\edif). Help.

. , Symbol Name . Symbol Files.

(. 1.4). , , .

1 - (, ) . . Ecs. , , , (Del), (Ctrl-C) (Ctrl-V) . , , ( 11 12). , . 2 - . 3 - ( ). , - . . 4 - . 5 - . 6 - . 7-8 . 9- .

10 - , , .

11-12 - Rubberbanding - - , () . , 12, , .

 
 

, Ctrl-C Ctrl-V, . , . , Ctrl, +. , , . .

, , , , , , . .

(, ), . , , . Enter. , . .

Input Output, . Input Output, . PIN_NAME, , ( ), Enter . Input Output, , .

, . , , , Enter.

, 4- XOR prim , 2- (. 1.5.).

d[3..0] , . , - . d3, - d0. ( ).

, MAX+plus II/ Compiler. ( Start), Processing (, ). Start .

File/Create Default Symbol, .

. :
1) : MAX+plus II / Waveform Editor;
2) Save As;
3) ;
4) : MAX+plus II / Simulator.

.

. Save As .scf. log_el.scf.

(. 1.7) (. 1.6). .

1 - , , , . Esc. 2 .

3 , . , .

4,5 . 6 .

7 - 10 (0,1,,Z). 11 .

12 , . 13 . 14 . 15 .

Name (. 1.7) , , Enter Nodes from SNF. List. (Available) , , , , , . .

, . , .

, d0, d1, d2, d3. d3..d0 d, . .

, . 13, Overwrite Count Value (. 1.8), : - Starting Value; - Ending Value; - Increment By, Multiplied By. , , .

Interval, 1 , . , File/End Time. Count Every , , , Options/Grid Size. (Grid) . (Snap) .

, . Name, - . 3.

12, 13, - , . , . , ( Ungroup), ( Enter Group).

(. 1.8) , , .

MAX+plus II/simulator, . , .

.

1. :
Ȼ 1, 1;
Ȼ 0, 0;
2 1, , 1 .

2. , (, d0) , , : Ȼ - 1; Ȼ - 0; 2 - .

 
 

3. Ȼ Ȼ , 2 .

1.2. . , , , .

1. , , . . :: (a) (b), (c). (s) (p). ( ).

2. , , . , . , .

() , () , , . , .

() , , , , , , .

():

, , .

3. . (a,b,c,p,s). s , ( NOT). . , , (. 1.9). .

4. . - - . . Clk Multiplied By, , 1, 2, 4 (. 1. 10).

5. . .

1.3. - a b s p, :

, , , .

1.4. - (. 1.11). . 4- d[3..0], ci (Carry In). ci = 0 . q[3..0], co. co 4- q 5- .

, , , .

1.5. 4- , , 1.2. 4- d[3..0], - ci, - q[3..0], co. co 4- q 5- . .

1.6. , 1 : z = 1, ; s = 1, ; p = 1, . . , , , .

1.7. 5 , 1 , ( 16- ).

                       
0B 0A 0B 1E 0C 1A 0D 1D 0E 1F 0A 0F 1A 0C 1B 0C 1C 0 1D 0 1E 0F

1.8. , =1 , , 1 , . . , , , .

                       
    0B 0C 0D 0E 0A 0C 0C 0 0  

1. , , , MAX+PLUS.

2. , .

3. , Input Output, .

4. .

5. .

6. MAX+PLUS II.

7. . , , , .

8. . , , , .

9. . , , , .

10. File/Open Set Project To Current File?

11. .

12. , .

 





:


: 2017-03-18; !; : 745 |


:

:

- - , .
==> ...

2033 - | 1975 -


© 2015-2024 lektsii.org - -

: 0.066 .