Лекции.Орг


Поиск:




Работа в XPS. Создание встроенной системы на основе пустого проекта




Введение

В этой работе вы увидите, как разработать встроенную систему, используя процессорную систему (ПС) Zynq-7000 AP SoC.

Система Zynq AP SoC состоит из ядра ARM Cortex A9 и программируемой логики (ПЛ). Она может быть использована двумя способами:

1. ПС Zynq может быть использована в автономном режиме без подключения дополнительных модулей.

2. Встроенные модули (IPs) могут быть присоединены к ПС Zynq как устройство, и вы можете использовать их комбинацию для построения сложных и эффективных устройств на одной SOC.

Состав встроенной системы

Создание системы Zynq включает в себя ее настройку и выбор соответствующих загрузочных устройств и периферии. До тех пор пока периферийные устройства и доступные соединения MIO отвечают требованиям системы, не требуется битовый поток. Эта работа проведет вас через создание такой системы.

Создание нового проекта для встроенной системы

1. Запустите приложение ISE® PlanAhead™.

2. Выберете Create New Project, чтобы открытьNew Project wizard.

3. Используя информацию из таблицы ниже сделайте соответствующие действия в открывшемся окне wizard’a.

Окно wizard’a Свойство системы Настройка или команда
Project Name Project name Укажите имя проекта
Project location Укажите папку для хранения проекта. Путь не должен содержать пробелы
Create Project Subdirectory Уберите галочку
Project Type Укажите тип исходников для системы. Вы можете начать с RTL или EDIF Оставьте пункт по умолчанию. RTL Project
Add Sources Ничего не изменяйте
Add Existing IP Ничего не изменяйте
Add Constraints Ничего не изменяйте
Default Part Specify Выберите Boards.
Board Выберите Zynq-7 ZC702 Evaluation Board.
New Project Summary Резюме проекта Просмотрите резюме и потом нажмите Finish для создания проекта

После нажатия Finish, New Project wizard будет закрыт и только что созданный проект откроется в PlanAhead.

Теперь, используя Add Sources wizard, создайте проект встроенного процессора. Для этого:

1. Нажмите Add Sources в Project Manager. Откроется Add Sources wizard.

2. Выберите пункт Add or Create Embedded Sources и нажмите Next.

3. Нажмите Create Sub-Design.

4. Введите название модуля, например, system и нажмите OK. Созданный модуль отобразиться в списке источников (sources list).

5. Нажмите Finish.

Программа PlanAhead создаст проект встроенной системы. Она распознает, что у вас есть встроенная процессорная система и запустит Xilinx Studio (XPS).

Работа в XPS. Создание встроенной системы на основе пустого проекта

1. В XPS в открывшемся диалоговом окне согласитесь, что вы хотите создать систему на основе пустого проекта. Вы вручную добавите процессор к вашей системе.

2. В IP Catalog выберите Processor > Processing System, чтобы добавить его в систему. Откроется диалоговое окно, спрашивающее, хотите ли вы добавить экземплярprocessing_system7 4.03.a в вашу систему.

3. Нажмите Yes, чтобы добавить экземпляр процессора.

4. Перейдите на вкладку Bus Interfaces. Заметьте, что добавилсяprocessing_system7.

Рисунок 1.XPS System Assembly View

5. Перейдите на вкладку Zynq, чтобы открыть блок-схемуZynq Processing System.

Рисунок 2.Zynq Processing System

Просмотрите содержимое блок-схемы. Зеленым выделены блоки, которые могут настраиваться. Вы можете нажать на такой блок, чтобы открыть окно настройки.

6. Нажмите на кнопку Import Zynq Configurations . Откроется окно импорта конфигурации Zynq.

7. Выберите конфигурационный шаблон (configuration template) для платы ZC702. По умолчанию выбран шаблон, находящийся в папке установки и соответствующий плате ZC702.

 

Рисунок 3. Окно выбора конфигурации Zynq

8. Нажмите OK.

9. В открывшемся окне с предупреждением, что будут обновлены Zynq MIO Configuration and Design, нажмите Yes.

10. Заметьте изменения в блок-схеме. Периферия ввода/вывода стала активной.

Рисунок 4. Обновленная блок-схема Zynq

11. Закройте окно Zynq PS MIO Configurations.

12. Закройте окно XPS. Текущая сессия программы PlanAhead применит изменения в настройках проекта.

Экспорт в SDK

В этой главе вы запустите SDK из PlanAhead.

1. На панели ресурсов (Sources pane) под Design Sources, щелкните правойкнопкой мыши на system(system.xmp) и выберите Create Top HDL. PlanAhead сгенерирует system_stub.v – высокоуровневый модуль системы.

2. В PlanAhead выберите File > Export > Export Hardware for SDK. Откроется окно экспорта аппаратной части (Export Hardware).

3. Отметьте галочкой Launch SDK.

4. Нажмите OK. Откроется SDK.

Заметьте, что файл описания аппаратуры автоматически считывается при запуске SDK. Вкладка system.xml показывает карту адресов всей системы.

Рисунок 5. Карта адресов на вкладке system.xml SDK

5. Закройте SDK.





Поделиться с друзьями:


Дата добавления: 2017-02-24; Мы поможем в написании ваших работ!; просмотров: 476 | Нарушение авторских прав


Поиск на сайте:

Лучшие изречения:

Есть только один способ избежать критики: ничего не делайте, ничего не говорите и будьте никем. © Аристотель
==> читать все изречения...

772 - | 733 -


© 2015-2024 lektsii.org - Контакты - Последнее добавление

Ген: 0.01 с.